9781402075308-1402075308-SystemVerilog For Design: A Guide to Using SystemVerilog for Hardware Design and Modeling

SystemVerilog For Design: A Guide to Using SystemVerilog for Hardware Design and Modeling

ISBN-13: 9781402075308
ISBN-10: 1402075308
Edition: 1
Author: Stuart Sutherland, Simon Davidmann, Peter Flake
Publication date: 2003
Publisher: Springer
Format: Hardcover 402 pages
FREE US shipping

Book details

ISBN-13: 9781402075308
ISBN-10: 1402075308
Edition: 1
Author: Stuart Sutherland, Simon Davidmann, Peter Flake
Publication date: 2003
Publisher: Springer
Format: Hardcover 402 pages

Summary

SystemVerilog For Design: A Guide to Using SystemVerilog for Hardware Design and Modeling (ISBN-13: 9781402075308 and ISBN-10: 1402075308), written by authors Stuart Sutherland, Simon Davidmann, Peter Flake, was published by Springer in 2003. With an overall rating of 4.2 stars, it's a notable title among other Drafting & Presentation (Architecture, Computer Science) books. You can easily purchase or rent SystemVerilog For Design: A Guide to Using SystemVerilog for Hardware Design and Modeling (Hardcover) from BooksRun, along with many other new and used Drafting & Presentation books and textbooks. And, if you're looking to sell your copy, our current buyback offer is $0.49.

Description

SystemVerilog is a rich set of extensions to the IEEE 1364-2001 Verilog Hardware Description Language (Verilog HDL). These extensions address two major aspects of HDL based design. First, modeling very large designs with concise, accurate, and intuitive code. Second, writing high-level test programs to efficiently and effectively verify these large designs.

This book, SystemVerilog for Design, addresses the first aspect of the SystemVerilog extensions to Verilog. Important modeling features are presented, such as two-state data types, enumerated types, user-defined types, structures, unions, and interfaces. Emphasis is placed on the proper usage of these enhancements for simulation and synthesis. A companion to this book, SystemVerilog for Verification, covers the second aspect of SystemVerilog.

Rate this book Rate this book

We would LOVE it if you could help us and other readers by reviewing the book